江南体育官网
直接搜索 "光纤清障仪"
EN 英文版本网站
江南体育官网
 

公司新闻


先进封装设备职业研讨:国产设备迎开展良机

阅读量: 217次 发布时间:2023-05-18 12:29:00

  半导体封装界说:将出产加工后的晶圆进行切开、焊线、塑封,使电路 与外部器材完结衔接,并为半导体产品供给机械维护,使其免受物理、 化学等环境要素丢失的工艺。

  半导体封装技能开展进程:由传统到先进。 第一阶段(20 世纪 70 时代之前),通孔插装时代:典型的封装办法包含 开端的金属圆形(TO 型)封装、双列直插封装(DIP)等;  第二阶段(20 世纪 80 时代今后),外表贴装时代:从通孔插装型封装向 外表贴装型封装的改动,从平面两头引线型封装向平面四边引线型封装 开展; 第三阶段(20 世纪 90 时代今后),面积阵列封装时代:从平面四边引线 型向平面球栅阵列型封装开展,引线技能从金属引线向微型段焊球方向 开展。 第四阶段(2010 年之后),先进封装时代:先进封装技能成为接连摩尔 规律的最佳挑选,在不进步半导体芯片制程的状况下能够进一步进步集 成度,闪现终端产品轻浮矮小等效果。

  受物理极限和本钱约束,摩尔规律逐渐失效。半导体制作中,工艺制程 继续微缩导致晶体管密度迫临极限,一同存在短道沟效应导致的漏电、 发热和功耗严重问题。工艺节点较高时,每次工艺节点的进步都会带来 本钱的非线性添加,在本钱开销大幅进步的布景下,技能节点的变迁在 逐突变缓。

  封装在半导体技能中的重要性逐渐进步。依据世界集成电路技能开展路 线图猜测,未来半导体技能的开展将集中于三个方向:(1)继续遵从摩 尔规律缩小晶体管特征尺度,以继续进步电路功用、下降功耗,即 More Moore;(2)向多类型方向开展,拓宽摩尔规律, 即 More Than Moore; (3)整合 System on Chip(SoC,体系级芯片)与 System in Package (SiP,体系级封装),构建高价值集成体系。在后两个开展方向中,封 装技能的重要性大幅增强。

  先进封装进步封测环节工业价值。从工业环节价值看,传统封测技能含 量相对较低,但跟着先进封测技能的开展演进,愈加杰出芯片器材之间 的集成与互联,完结更好的兼容性和更高的衔接密度,先进封测已然成 为逾越摩尔规律方向的重要赛道,让封测厂商与规划端、制作端联络更 为严密,进一步抬升封测环节的工业价值。

  后摩尔时代,先进封装成为趋势。先进封装是在不要求进步芯片制程的状况下,完结芯片的高密度集成、体积的微型化,并下降本钱,契合高 端芯片向尺度更小、功用更高、功耗更低演进的趋势。传统封装的功用 首要在于芯片维护、电气衔接,先进封装在此基础上添加了进步功用密 度、缩短互联长度、进行体系重构的三项新功用。在后摩尔时代,人们 开端由从前的“怎么把芯片变得更小”改动为“怎么把芯片封得更小”, 先进封装成为半导体职业开展要点。

  半导体封测商场规划继续添加。依据 YOLE 数据,2021 年全球集成电 路封测职业商场规划为 713 亿美元,同比添加 5.32%,2017-2021 年 CAGR 为 3.3%。2021 年我国封测工业商场规划为 2763 亿元,同比增 长 10.1%。2017-2021 年,我国大陆封测工业商场规划 CAGR 为 9.9%, 增速高于全球。

  先进封装占比继续进步。依据 Yole 估计,到 2025 年先进封装的全球市 场规划约 420 亿美元,先进封装在全球封装的占比从 2021 年的 45%增 长到2025年的49.4%,2019-2025年全球先进封装商场的CARG约8%, 高于传统封装商场和全体封装商场增速。

  国内先进封装浸透率低,职业开展推进浸透率加快进步。依据 Frost & Sullivan 数据,2020 年我国大陆封装商场规划 2509.5 亿元,其间先进封装商场规划 351.3 亿元,占大陆封装商场规划的份额约 14%。跟着中 国大陆半导体工业开展,尤其是先进制程份额的进步,先进封装浸透率 有望加快进步。依据 Frost & Sullivan 猜测,2021-2025 年,我国先进封 装商场规划复合增速到达 29.9%,估计 2025 年我国先进封装商场规划 为 1137 亿元,占我国大陆封装商场的份额将到达 32.0%。

  传统半导体封装流程:传统半导体封测的工艺包含晶圆反面减薄、划片、 固晶、键合、塑封、打标、电镀、切近筋成型、检测。对应设备别离为 磨削设备、划片机、固晶机、键合机、塑封机、激光打标机、电镀设备、 切筋成型设备、测验机等。

  晶圆反面减薄:将从晶圆厂出来的 Wafer 进行反面研磨,来减薄晶圆达 到封装需求的厚度。晶圆反面减薄的意图是下降封装贴装高度,减小芯 片封装体积,改进芯片的热扩散功率、电气功用、机械功用及减小划片 的加工量。晶圆减薄工艺:首先在正面(Active Area)贴胶带维护电路 区域,一同研磨反面。研磨之后,去除胶带,丈量厚度。其间,磨削包 括粗磨、精磨和抛光三个阶段。

  晶圆划片:在一个晶圆上,一般有几百个至数千个芯片连在一同。它们 之间留有 80um 至 150um 的空隙,此空隙被称之为划片街区(Saw Street)。划片将每一个具有独立电气功用的芯片通过切开别离出来。晶 圆划片首要有刀轮切开和激光切开两种,现在刀轮切开占有干流道路。

  固晶:将芯片从现已切开好的晶圆上抓取下来,并安臵在基板对应的位 臵上,对应设备为固晶机。固晶工艺的首要要求是固晶速度和固晶精确 性,IC 制作对放臵精度要求很高,而传感器、分立器材、光通信模块、 功率器材和 LED 器材对精度要求相对较低。

  半导体键合:运用金属丝(金线、铜线、铝线等),运用热压或许超声能 源,完结芯片与电路或引线结构之间的衔接。依照工艺技能,键合分为 球形焊接(ball bonding)和楔形焊接(wedge bonding);依照焊接原 理分为热压焊、超声波焊、热超声波焊。

  塑封:将芯片牢靠地封装到必定的塑料外壳内。塑封体对本来于外 界的芯片、器材以及衔接线路进行支撑、维护,确保了芯片运用的牢靠 性。

  激光打标、引脚电镀、切筋成型:对塑封后的芯片进行激光打标、引脚 电镀、切筋成型等处理。其间切筋成型是将已完结封装的产品成型为满 足规划要求的形状与尺度,并从结构或基板上切筋、成型、别离成单个 的具有设定功用的制品的进程。在芯片塑封后,其安稳性大幅增强,因 此塑封之后封装设备的技能要求相对较低。

  先进封装向高度集成和高度互联开展,首要由四要素构成:RDL(再布 线)、TSV(硅通孔)、Bump(凸块),Wafer(晶圆),其间 RDL 起着 XY 平面电气延伸的效果,TSV 起着 Z 轴电气延伸的效果,Bump 起着 界面互联和应力缓冲的效果,Wafer 则作为集成电路的载体以及 RDL 和TSV 的介质和载体。现阶段先进封装首要是指倒装焊(Flip Chip)、 晶圆 级封装(WLP) 、2.5D 封装(Interposer) 、3D 封装(TSV)、ChipLET 等。

  倒装工艺:指在芯片的 I/O 焊盘上直接堆积,或通过 RDL 布线后堆积 凸块(Bump),然后将芯片翻转,进行加热,使熔融的焊料与基板或框 架相结合,芯片电气面朝下。

  与引线键合工艺比较,倒装工艺具有多个长处:(1)I/O 密度高;(2) 互联长度大幅缩短,互连电阻、电感更小;(3)芯片中发生的热量可通 过焊料凸点直接传输刀封装沉底,芯片散热性更好。

  2.5D 封装:裸片并排放臵在具有硅通孔(TSV)的中介层顶部。其底座, 即中介层,可供给芯片之间的互联; 3D 封装:又称为叠层芯片封装技能,3D 封装可选用凸块或硅通孔技 术(Through Silicon Via,TSV),TSV 是运用笔直硅通孔完结芯片间互 连的办法,因为衔接间隔更短、强度更高,能完结更小更薄而功用更好、 密度更高、尺度和分量显着减小的封装,并且还能用于异种芯片之间的 互连。

  WLP(Wafer Level Package):晶圆级封装,直接在晶圆上进行大部 分或悉数的封装测验程序,之后再进行切开制成单颗芯片。选用这种封 装技能,不需求引线结构、基板等介质,芯片的封装尺度减小,批量处 理也使出产本钱大幅下降。

  WLP 可分为扇入型晶圆级封装(Fan-In WLP)和扇出型晶圆级封装 (Fan-Out WLP)两大类: 扇入型:直接在晶圆上进行封装,封装完结后进行切开,布线均在芯片 尺度内完结,封装巨细和芯片尺度相同; 扇出型:依据晶圆重构技能,将切开后的各芯片从头布臵到人工载板上, 芯片间间隔视需求而定,之后再进行晶圆级封装,最终再切开,布线可 在芯片内和芯片外,得到的封装面积一般大于芯片面积,但可供给的 I/O 数量添加。

  SiP:(System in Packag,体系级封装):将多种功用芯片,包含处理 器、存储器、FPGA 等功用芯片集成在一个封装内,然后完结一个根本 完好的功用。与体系级芯片(System on Chip,SoC)相对应,不同的 是体系级封装是选用不同芯片进行并排或叠加的封装办法,而 SoC 则 是高度集成的芯片产品。

  Chiplet:通过总线和先进封装技能完结异质集成的封装办法;chiplet 的优势:(1)下降单片晶圆集成工艺良率危险,到达本钱可控,有规划 弹性,可完结芯片定制化;(2)Chiplet 将大尺度的多中心的规划,分 散到较小的小芯片,更能满意如今高效能运算处理器的需求;(3)弹性 的规划办法不只进步灵敏性,且可完结包含模块拼装、芯片网络、异构 体系与元件集成四个方面的功用。

  Chiplet 可完结异构集成与异质集成。(1)异构集成:将多个不同工艺节 点独自制作的芯片封装到一个封装内部,能够对选用不同工艺、不同功 能不同制作商制作的组件进行封装。例如将不同厂商的 7nm、10nm、 28nm、45nm 的小芯片通过异构集成技能封装在一同;(2)异质集成: 将不同资料的半导体器材集成到一个封装内,可发生尺度小、经济性好、 灵敏性高、体系功用更佳的产品。如将 Si、GaN、SiC、InP 出产加工的 芯片通过异质集成技能封装到一同,构成不同资料的半导体在同一款封 装内协同作业的场景。

  半导体封装设备包含磨片机、划片机、固晶机、键合机、塑封设备、打 标设备等。

  半导体封装设备商场空间:依据 SEMI 数据,2022 年全球半导体设备市 场规划为 1076 亿美元,同比添加 5%。2022 年我国大陆半导体设备销 售额为 282.7 亿美元,同比下降 5%。依据 SEMI 数据,2021 年全球半 导体封装设备商场规划为 71.7 亿美元,占同期全球半导体设备商场规划 的份额约为 7%。

  先进封装开展增大封装设备需求。(1)先进封装中,芯片层数添加,芯 片厚度需求愈加轻浮以减小体积,因而减薄设备需求添加;(2)ChipLET 中,芯片变小且数量变多,划片时需求将晶圆切开为更多小芯片,先进 封装中划片机需求的数量和精度都会进步;(3)芯片变小且数量进步之 后,对固晶机的需求量和精度要求都会进步。

  先进封装开展推进测验设备需求添加。在 SIP 或 ChipLET 中,一个塑 封体中封装了多个小芯片,若其间部分芯片不良,则会导致整个大芯片 全体无法正常作业。因而先进封装中,需求对小芯片进行全检,测验设 备需求添加。

  凸块工艺:凸块是定向指生善于芯片外表,与芯片焊盘直接或直接相连 的具有金属导电特性的突起物。依据资料,凸块可分为金凸块、铜镍金 凸块、铜柱凸块、焊球凸块。凸块是芯片倒装必备工艺,是先进封装的 中心技能之一。

  金/铜凸块工艺:(1)选用溅射或其他物理气相堆积的办法再晶圆外表沉 积一层 Ti/Cu 等金属作为电镀的种子层;(2)在晶圆外表涂必定厚度的 光刻胶,并运用光刻曝光工艺构成所需求图形;(3)对晶圆进行电镀, 通过操控电镀电流巨细、电镀时刻等,从光刻胶开窗图形底部生长并得 到必定厚度的金属层;(4)去除剩余光刻胶。 锡凸块工艺:与铜柱凸块流程类似,凸块结构首要由铜焊盘和锡帽构成 (一般协作再钝化和 RDL 层),不同首要在于焊盘的高度较低,一同锡 帽合金是制品锡球通过钢板印刷,在助焊剂以及氮气环境下高温熔融回 流与铜焊盘构成的全体产品。锡凸块一般是铜柱凸块尺度的 3~5 倍,球 体较大,可焊性更强(也能够通过电镀构成锡球)。  铜镍金凸块工艺:选用晶圆凸块的根本制作流程,电镀厚度超越 10μm 以上的铜镍金凸块。新凸块代替了芯片的部分线路结构,优化了 I/O 设 计,大幅下降了导通电阻。

  TSV(Through Silicon Via)即硅通孔技能,是一种运用笔直硅通孔实 现芯片互连的办法,比较于传统引线衔接,具有更短的衔接间隔、更高 的机械强度、更薄的芯片厚度、更高的封装密度,一同还能够完结异种 芯片的互连。

  TSV 的制作工艺流程:在硅片上刻蚀通孔,侧壁堆积金属粘附层、阻挠 层和种子层,TSV 通孔中电镀铜金属作为导体,运用化学机械抛光(CMP) 将硅片减薄,最终叠层键合。TSV 要害工艺与设备: 1、通孔刻蚀:深反应离子刻蚀(DRIE)、激光刻蚀、湿法刻蚀; 2、绝缘层、阻挠层和种子层堆积:等离子体化学气相堆积(PECVD)、 金属有机化学气相堆积(MOCVD)、物理气相堆积(PVD); 3、通孔内导电物质填充:MOCVD 填充钨、LPCVD 填充多晶硅、电镀 填充铜; 4、晶圆减薄:化学机械抛光(CMP); 5、叠层键合:氧化物键合、金属键合、粘合剂键合;

  RDL(ReDistribution Layer,重布线层):是完结芯片水平方向互连的 要害技能,可将芯片上本来规划的 I/O 焊盘位臵通过晶圆级金属布线工 艺变换位臵和摆放,构成新的互连结构。 RDL 的工艺流程:(1)构成钝化绝缘层并开口;(2)堆积粘附层和种子 层;(3)光刻显影构成线)去除光刻胶并刻蚀粘 附层和种子层;(5)重复上述过程进行下一层的 RDL 布线。RDL 需求 的设备包含曝光设备、PVD 设备等。

  半导体工业一共阅历了三次工业搬迁。(1)第一次是从 20 世纪 80 时代 开端,由美国本乡向日本搬迁,成果了东芝、松下、日立、东京电子等 闻名品牌;(2)第2次是在 20 世纪 90 时代到 21 世纪初,由美国、日 本向韩国以及我国台湾搬迁,造就了三星、海力士、台积电、日月光等 大型厂商;(3)现在,全球正阅历半导体工业链的第三次搬运,由我国 台湾、韩国向我国大陆搬迁,继续的产能搬运不只带动了我国大陆集成 电路全体工业规划和技能水平的进步,为集成电路配备制作业供给了巨 大的商场空间。

  美国对我国半导体工业的约束不断晋级。2022 年 10 月 7 日,美国商务 部工业与安全局(BIS)发布了《对向我国出口的先进核算和半导体制 造物项施行新的出口控制》,此次出口控制新规中,美国商务部以国家安 全为由,对向我国出口的芯片和相关出产工具添加了约束,内容首要包 括:(1)约束我国企业获取高功用芯片和先进核算机;(2)约束美国人 为触及我国的特定半导体活动供给支撑;(3)约束我国获取先进半导体 制作物项与设备;(4)新增 31 家我国实体公司、研讨组织列入 UVL(未 经核实清单)名单等。这是自 2018 年以来,美国对我国半导体工业制 裁的再次晋级,半导体作为国家信息工业柱石,自主可控火烧眉毛。

  半导体设备国产化率继续进步。依据 SEMI(世界半导体工业协会)数 据显现,我国大陆半导体设备商场在 2013 年之前占全球比重小于 10%, 2014-2017 年进步至 10-20%,2018 年之后坚持在 20%以上,2020 年 我国大陆在全球商场占比完结 26.30%,较 2019 年添加了 3.79 个百分 点,2021 年我国大陆在全球商场占比完结 28.86%,我国大陆半导体设 备商场份额坚持上升趋势。

  封测是我国半导体工业竞赛力最强的环节,下流商场的老练为封装设备 国产化奠定良好基础。与规划和晶圆制作比较,封装职业进入壁垒较低, 因而在我国集成电路开展前期,很多企业挑选以封测环节作为切入口, 并不断加强对海内外企业并购动作,以继续扩展公司规划。现在封测已 成为我国大陆半导体工业链中竞赛力最强的环节,依据华经工业网数据, 2021 年长电科技、通富微电、华天科技三家企业占全球封测商场的 20.1%。

  公司是直写光刻设备龙头。公司建立于 2015 年,以直写光刻底层技能 为中心,开展 PCB、泛半导体、光伏铜电镀三大范畴。直写光刻是运用 广泛的图形化工艺,公司技能在该范畴处于抢先水平,是以微纳直写光 刻技能为中心的渠道型企业。

  公司成绩快速添加,盈余才能安稳。2017-2022 年,公司运营收入由 0.22 亿元添加至 6.53 亿元,CAGR 约 97.0%;归母净利润由-0.07 亿元添加 至 1.37 亿元。2022 年公司出售毛利率和出售净利率别离为 43.17%、 20.94%,处于较高水平。近三年公司盈余才能趋于平稳。

  直写光刻在先进封装光刻范畴具有优势,公司有望获益于先进封装开展。 先进封装办法更为灵敏,例如芯片重构后存在位臵偏移等状况,而掩膜 光刻的图画难以直接改动。直写光刻选用数字化掩膜版,愈加灵敏,因 此在先进封装范畴更具优势。公司 WLP2000 光刻机,可用于先进封装 的 BUMP、RDL、WLP 等工艺,有望获益于先进封装职业开展。

  公司是国内固晶机龙头。公司建立于 2006 年,现在公司现已成为国内LED 固晶机、电容器老化测验智能制作配备范畴的抢先企业,一同凭仗 深沉的研制实力和继续的技能创新才能,成功进入了半导体固晶机和 MiniLED 固晶机商场。此外,公司部分智能制作配备产品中心零部件如 驱动器、高精度读数头及直线电机、音圈电机等现已完结自研自产,是 国内罕见的具有中心零部件自主研制与出产才能的智能制作配备企业。

  公司成绩继续添加。2017-2022 年,收入由 5.05亿元添加至11.84 亿元, CAGR 约 18.6%;归母净利润由 0.52 亿元添加至 2.05 亿元,CAGR 约 31.6%。2019-2022 年,近三年收入 CAGR 21.8%,归母 CAGR 32.3%。 2022 年,公司出售毛利率和出售净利率别离为 43.64%、17.18%。 2017-2022 年,公司毛利率由 25.23%进步至 43.64%,盈余才能逐渐提 升。

  半导体固晶机商场规划大,进口依赖度较高。依据 Yole development, 估计 2024 年全球半导体固晶机商场规划为 10.83 亿美元。国内企业主 要向 ASMPT 和 BESI 收买半导体固晶机,进口依赖度较高。

  公司半导体固晶机快速开展,职业认可度高。公司在半导体设备范畴已 具有较强的商场竞赛力及较高的品牌闻名度,封测事务包含 MEMS、模 拟、数模混合、分立器材等范畴,客户包含晶导微、灿瑞科技、扬杰科技、通富微、固锝电子、华天科技等闻名公司。公司半导体固晶设备近 年来客户导入顺畅,遭到业界认可,事务收入得到快速添加。

  公司已成为半导体封测设备以及要害零部件范畴龙头企业。2016 年以 来公司通过继续收买 LP、LPB、ADT 等公司敏捷进入了半导体划片机 及中心零部件空气主轴范畴,依据公司 2022 年 4 月 12 日发布的出资者 调研纪要,公司的半导体划片设备最要害的精细操控体系能够对步进电 机完结低至 0.1 微米的操控精度,处于业界抢先水平。公司有望充沛受 益于职业国产代替。

  2015-2022 年,收入由 1.20 亿元添加至 6.15 亿元;归母净利润由 0.24 亿元添加至 0.65 亿元。2019-2022 年,近三年收入 CAGR 27.47%,归 母CAGR 5.23%。2022年,公司出售毛利率和出售净利率别离为53.29%、 10.99%,毛利率坚持安稳,净利率因研制费用率进步、出资净收益下降 等原因同比下滑。

  公司半导体划片机竞赛力强。公司是全球排名前三的半导体切开划片装 备企业,并一同具有切开划片量产设备、中心零部件——空气主轴和刀 片等耗材的企业,能够为客户供给个性化的划切全体解决方案。公司高 端切开划片设备与耗材能够用于先进封装中的切开工艺。公司与日月光、 嘉盛半导体、长电科技、通富微电、华天科技等国内外封测头部企业建 立了安稳的协作关系。

  电子装联设备龙头,布局半导体封测设备。公司布局电子装联精细焊接 设备多年,2022 年荣获国家工信部电子装联精细焊接设备“制作业单 项冠军”。公司立足于国家半导体设备国产化战略方向,通过自主研制、 产学研协作、建立海外研制组织、并购扩张、工业基金协作等办法,多措并重打造国产化功率半导体封装中心设备,首要产品包含 IGBT 固晶 机、甲酸焊接炉、纳米银烧结设备等。

  成绩稳健添加,盈余才能强。2017-2022 年,公司运营收入由 3.62 亿元 添加至 9.01 亿元,CAGR 约 20.0%;归母净利润由 1.32 亿元添加至 2.73 亿元,CAGR 约 15.6%。公司为电子装联设备细分赛道隐形冠军,竞赛 力强,盈余才能杰出,2022 年公司出售毛利率和出售净利率别离为 51.92%、30.47%。

  公司在电子热工范畴处于抢先地位,被职业协会颁发“SMT 范畴龙头企 业”,回流焊设备获国家工信部“制作业单项冠军产品”认证。公司自主 研制的检测设备和主动化设备完结对电子热工设备的辅佐和功用扩展, 丰厚了公司产品的运用场景,与电子热工设备协作为客户供给掩盖电子 产品 PCB 出产进程中插件、焊接和检测的整套体系解决方案。

  公司半导体专用设备已完结打破。公司研制出产了半导体芯片封装炉、 Wafer Bumping 焊接设备、真空甲酸焊接设备、甩胶机、氮气烤箱、无 尘压力烤箱等多款半导体热工设备、半导体硅片制作设备,并具有为客 户供给不同制作工艺设备的定制才能。公司半导体专用设备产品已累计 交给服务客户超越 20 家,取得客户的认可、检验及复购,成为公司战 略级事务和未来生长点。

  运营状况:2015-2022 年,公司运营收入由 2.57 亿元添加至 7.91 亿元, CAGR 约 17.4%;归母净利润由 0.32 亿元添加至 0.89 亿元,CAGR 约 15.7%。2022年,公司出售毛利率和出售净利率别离为37.38%、11.24%, 同比别离进步 7.56pct、4.13pct,公司毛利率进步,首要原因为产品结 构调整、精益出产办法执行等。

  公司是国内半导体封装及塑料挤出成型智能制作配备范畴闻名企业。在 半导体封装配备范畴,作为国内为数不多的半导体封装设备及模具国产 品牌供货商之一,公司已成为通富微电、华天科技、长电科技等头部半 导体封装企业的供货商。通过差异化的自主创新和研制,通过多年的发 展,把握了老练的中心要害技能和工艺,公司半导体封装设备与世界一 流品牌如日本 TOWA、YAMADA 同等类产品的距离正逐渐缩小。公司 方针是完结我国在半导体塑料封装配备范畴的自主可控,在全球商场与 世界一流品牌进行同台竞技。在挤出成型配备范畴,产品远销全球 40 多个国家和地区,服务于德国 Profine GmbH、美国 Eastern Wholesale Fence LLC、比利时 Deceuninck NV 等很多全球著名品牌,出口规划 接连多年位居我国同类产品首位。

  公司成绩继续添加。2017-2022 年,公司运营收入由 0.66 亿元添加至 2.69 亿元,CAGR 约 32.4%;归母净利润由 0.13 亿元添加至 0.57 亿元, CAGR 约 34.4%。2018-2020 年,公司毛利率下降,近年跟着本钱和费 用管控,公司盈余才能逐渐趋于安稳。

  锡膏印刷设备龙头,布局半导体设备翻开生长空间。公司首要从事主动 化精细配备的研制、出产、出售及技能支撑服务,首要产品为锡膏印刷 设备、LED 封装设备、点胶设备和柔性主动化设备。公司布局半导体封 测设备,推出半导体固晶机、半导体点胶设备、晶圆级印刷植球整线等 产品,有望获益于先进封装工业开展。 公司成绩继续添加。2017-2022 年,公司运营收入由 3.46 亿元添加至 7.79 亿元,CAGR 约 17.6%;归母净利润由 0.45 亿元添加至 1.27 亿元, CAGR 约 23.1%。公司盈余才能安稳,2022 年出售毛利率和出售净利 率进步。

  (本文仅供参考,不代表咱们的任何出资主张。如需运用相关信息,请参阅陈述原文。)

Copyright © 2013-2022 sptlaser. 粤ICP备15008722号-3 | 法律声明 | 隐私条款

江南体育官网

微信号:Removelaser
扫描微信二维码
了解更多信息
江南体育官网微信公众号二维码
江南体育官网微博图标 江南体育网facebook图标 江南体育网页版领英图标 江南体育官网联系qq 江南体育网推特图标 江南体育网页版YouTube图标
Copyright © 2013-2022 sptlaser. 江南体育官网
粤ICP备15008722号-3   法律声明 | 隐私条款